Welcome![Sign In][Sign Up]
Location:
Search - game vhdl

Search list

[Other Gamesballgame

Description: 小球游戏VHDL源代码,球触壁、球拍或三个障碍物则反射,掉落地面则游戏结束-little ball game VHDL code
Platform: | Size: 284672 | Author: choumio | Hits:

[VHDL-FPGA-Verilogpingpang

Description: 基于FPGA的乒乓球游戏。。VHDL语言-FPGA-based table tennis game. . VHDL language. .
Platform: | Size: 1024 | Author: syf | Hits:

[assembly languagetabletennisonFPGA

Description: 基于FPGA的乒乓球游戏电路 包括系统的设计要求和总体设计图。已经在multisim上仿真过了-The table tennis game based on FPGA circuits, including system design requirements and overall design plan. Multisim simulation has been passed on
Platform: | Size: 287744 | Author: 司伟海 | Hits:

[Other Gamesgame_final

Description: a vhdl game for xilinx spartan 3E, the user controls a pane at the bottom and has to avoid falling blocks, works with external VGA and rotary button. Has different speeds and levels
Platform: | Size: 71680 | Author: toon | Hits:

[BooksXilinx_vga_games_design

Description: 介绍利用XILINX spartan-3e 开发平台开发俄罗斯方块游戏,语言为VHDL-Introduced using XILINX spartan-3e Tetris game development platform, language VHDL
Platform: | Size: 197632 | Author: 张先生 | Hits:

[Shot GamePongGame

Description: A simple pong game for VHDL
Platform: | Size: 3072 | Author: dagcilibili | Hits:

[VHDL-FPGA-Verilogpingpang

Description: 两人乒乓球游戏机是用9个发光二极管代表乒乓球台,用点亮的发光二极管按一定的方向移动来表示球的运动。在游戏机的两侧各设置一个开关,即击球开关Hit A,HitB。甲乙二人按乒乓球比赛规则来操作开关。当甲按动击球开关时,靠近甲的第一个二极管亮,然后发光二极管由甲向乙依次点亮,代表乒乓球的移动。当球过网(中点)时,乙方可以击球。若乙方提前或是没击中球则判乙方失分,甲方的计分牌自动加分。然后重新发球,比赛继续。比赛直到一方分数达到11分时,比赛结束。 -Two table tennis game with nine leds with light table tennis, representing the light emitting diode according to certain direction to move the ball movement. On both sides of the game to set A switch, namely the ball Hit A HitB, switch. 2 party b according to table tennis match rules switch. When a button when hitting switch near the first light emitting diode, then led by a to b, in light of the table tennis movement. Representative When the ball over the net (middle), party b can hit. If party b or didn t hit the ball ahead is sentenced to party b, party a s scoreboards automatic points. Then again, continue to serve. Until one reaches 11 points, the end of the match.
Platform: | Size: 4096 | Author: Shine | Hits:

[VHDL-FPGA-Verilog8086FPGA

Description: 一个基于8086FPGA软核的吃豆子游戏的程序源代码-A soft-core based 8086FPGA Pacman game source code
Platform: | Size: 4082688 | Author: sssy | Hits:

[VHDL-FPGA-VerilogISE_lab19

Description: 基于VHDL语言编写的俄罗斯方块游戏,由VGA接口和电脑显示器显示,用PS2键盘操作控制。-Written in VHDL-based Tetris game, by the VGA interface and a computer display, with a PS2 keyboard control.
Platform: | Size: 3850240 | Author: 大机子 | Hits:

[VHDL-FPGA-Verilogpong_bats

Description: 用Verilog语言描述乒乓球游戏,并借助VGA平台进行显示,该游戏可以实现乒乓球的变速,并实现计分的功能-it describes Pingpang Game
Platform: | Size: 3620864 | Author: liuning0041 | Hits:

[VHDL-FPGA-VerilogPING

Description: 一个甲、乙双方参赛,裁判参与的乒乓球比赛游戏模拟机。用8个发光二极管排成一条直线,以中点为界,两边各代表参赛双方的位置,其中点亮的发光二极管代表“乒乓球”的当前位置,点亮的发光二极管依次由左向右或由右向左移动。当球运动到某方的最后一位时,参赛者应立即按下自己一方的按钮,即表示击球,若击中,则“球”向相反方向运动,若未击中,则对方得1分。设置自动计分电路,双方各用二位数码管来显示计分,每局11分。每人发2球,7局4胜制。自动几分并显示-A A, B both play, the referee in the table tennis game simulator. With 8 LEDs arranged in a straight line, the midpoint for the community, representatives of both sides of the position of participating parties, including light-emitting diodes for " table tennis" in the current location, followed by light-emitting diode, or from left to right moving from right to left. When the ball movement to a party the last one, the participant should immediately press the button on its side, that means the ball, if hit, the " ball" in the opposite direction, if not hit, the other was a points. Automatic scoring circuit, each side with two digital displays scoring 11 points per game. Each made 2 balls, 7 Council 4 match. Automatically sort and display
Platform: | Size: 2048 | Author: | Hits:

[VHDL-FPGA-VerilogSnake

Description: This an implementation of snake game in VHDL for Spartan 3 board. It is composed of 5 vhdl files. The output of the system is a CRT monitor.
Platform: | Size: 7168 | Author: sanzihun1 | Hits:

[VHDL-FPGA-VerilogGreedy_Snake_verilog

Description: 基于FPGA的verilog代码,在Spartan3开发板上实现了传统贪吃蛇的游戏,通过VGA显示在屏幕上。按键控制方向。-This is a FPGA project, which used verilog and implemented the traditional game of Greedy Snake.
Platform: | Size: 7168 | Author: onioncc | Hits:

[VHDL-FPGA-Verilogpingpang

Description: 模拟乒乓球游戏机,输入有按键消抖模块,利用两个七段数码管的其中9段来模拟乒乓球的移动路线,中间的数码管兼做球网。-Table tennis simulation game, enter a key debounce module, using two seven-segment digital tube to simulate the Table Tennis section 9 of the mobile line, cater to the middle of the digital net.
Platform: | Size: 300032 | Author: 李凡 | Hits:

[VHDL-FPGA-VerilogVHDL-honeybee

Description: 一篇关于如何用FPGA做小蜜蜂游戏的论文,有源代码.- an article on how to do the game of honeyBee with source code.
Platform: | Size: 1810432 | Author: tony.wang | Hits:

[VHDL-FPGA-VerilogAltera-DE2-70-pingpang

Description:
Platform: | Size: 1425408 | Author: tony.wang | Hits:

[VHDL-FPGA-Verilogpingpong

Description: 利用DE2开发板在VGA上实现乒乓球游戏,并做了背景的改进,增强趣味性。已经调试过,可用。-DE2 development board using the VGA to achieve table tennis game, and gave the background to improve and enhance fun. Has been debugged and available.
Platform: | Size: 1241088 | Author: monica | Hits:

[VHDL-FPGA-VerilogSnake

Description: Verilog, Snake game, VGA, Keyboard
Platform: | Size: 2497536 | Author: ivan | Hits:

[VHDL-FPGA-VerilogXSA50-VGA-GAME

Description: vhdl simple vga ps2 game project
Platform: | Size: 703488 | Author: dumbmage | Hits:

[VHDL-FPGA-VerilogVGA_PONG

Description: pong game using vhdl code.a simple one.
Platform: | Size: 758784 | Author: Hari | Hits:
« 1 2 3 45 6 7 8 9 10 »

CodeBus www.codebus.net